14 August, 2014

FW: Limits on fundamental limits to computation

Plus an editorial

Future computing

Pushing the boundaries of current computing technologies will show the way to new ones.

Markov's message is not to be overly optimistic or pessimistic about further progress. We should focus on the boundaries and push to see where they yield.

http://www.nature.com/news/future-computing-1.15704?WT.ec_id=NATURE-20140814

Feed: Nature - Issue - nature.com science feeds
Posted on: Wednesday, 13 August 2014 10:00 AM
Author: Igor L. Markov
Subject: Limits on fundamental limits to computation


Limits on fundamental limits to computation

Nature 512, 7513 (2014). doi:10.1038/nature13570<http://dx.doi.org/10.1038/nature13570>

Authors: Igor L. Markov

An indispensable part of our personal and working lives, computing has also become essential to industries and governments. Steady improvements in computer hardware have been supported by periodic doubling of transistor densities in integrated circuits over the past fifty years. Such Moore scaling now requires ever-increasing efforts, stimulating research in alternative hardware and stirring controversy. To help evaluate emerging technologies and increase our understanding of integrated-circuit scaling, here I review fundamental limits to computation in the areas of manufacturing, energy, physical space, design and verification effort, and algorithms. To outline what is achievable in principle and in practice, I recapitulate how some limits were circumvented, and compare loose and tight limits. Engineering difficulties encountered by emerging technologies may indicate yet unknown limits.
Table 1: Some of the known limits to computation
Limits

Engineering

Design and validation

Energy, time

Space, time

Information, complexity

Summary of material from refs  and Fundamental

Abbe (diffraction); Amdahl; Gustafson

Error-correction and dense codes; fault-tolerance thresholds

Einstein (E = mc2); Heisenberg (ΔEΔt); Landauer (kTln2); Bremermann; adiabatic theorems

Speed of light; Planck scale; Bekenstein; Fisher (T(n)1/(d + 1))

Shannon channel capacity; Holevo bound; NC, NP, #P; decidability

Material

Dielectric constant; carrier mobility; surface morphology; fabrication-related

Analytical and numerical modelling

Conductivity; permittivity; bandgap; heat flow

Propagation speed; atomic spacing; no gravitational collapse

Information transfer between carriers

Device

Gate dielectric; channel charge control; leakage; latency; cross-talk; ageing

Compact modelling; parameter selection

CMOS; quantum; charge-centric; signal-to-noise ratio; energy conversion

Interfaces and contacts; entropy density; entropy flow; size and delay variation; universality

Circuit

Delay; inductance; thermal-related; yield; reliability; input–output

Interconnect; test; validation

Dark, darker, dim and grey silicon; interconnect; cooling efficiency; power density; power supply; two or three dimensions

Circuit complexity bounds

System and software

Specification; implementation; validation; cost

Synchronization; physical integration; parallelism; ab initio limits (Lloyd)

The 'consistency, availability, partitioning tolerance' (CAP) theorem



View article...<http://feeds.nature.com/~r/nature/rss/current/~3/xwqcLYRzias/nature13570>

No comments:

Post a Comment